Volume 3-1021

October 2021
order form
This issue of the Advanced Packaging Update features a financial analysis of OSATs, including performance metrics. Continuing semiconductor shortages and supply chain disruptions are discussed, including an update on automotive electronics. The special section on packaging trends for advanced semiconductor nodes includes a package forecast for silicon interposers and high-density fan-out. Packaging challenges for chiplets and especially 3D are discussed. Trends in high bandwidth memory (HBM) are discussed and a market forecast is provided.
  • Contents…
    • 1 Industry and Economic Trends
      • 1.1 Economic Trends
      • 1.2 China's Power Shortages
      • 1.3 Shipping Disruptions
      • 1.4 Semiconductor Shortages
        • 1.4.1 Automotive Sector
    • ​2 OSAT Financial Analysis
      • 2.1 Industry Overview
      • 2.2 OSAT Market Performance
      • 2.3 Company Highlights
        • 2.3.1 ASE Holdings
        • 2.3.2 Amkor Technology
        • 2.3.3 Powertech Technology
        • 2.3.4 JCET Group
        • 2.3.5 Tongfu Microelectronics
        • 2.3.6 Huatian
        • 2.3.7 KYEC
        • 2.3.8 ChipMOS and Chipbond
        • 2.3.9 UTAC
      • 2.4 OSAT Performance Metrics
        • 2.4.1 Gross Margin
        • 2.4.2 R&D Spending
        • 2.4.3 CAPEX
        • 2.4.4 Test Services
      • 2.5 Outlook
    • 3 Next Generation Packaging
      • 3.1 High-Performance Solutions
        • 3.1.1 Challenges for Chiplets
          • 3.1.1.1 Test Challenges
          • 3.1.1.2 Assembly Challenges for Hybrid Bonding
          • 3.1.1.3 Thermal Challenges
        • 3.1.2 Silicon Interposers
        • 3.1.3 High-Density Fan-Out
        • 3.1.4 High-Density FO and Si Interposer Forecast
        • 3.1.5 Silicon Interposer Suppliers
        • 3.1.6 High-Density Laminate
        • 3.1.7 3D Solutions with Hybrid Bonding
      • 3.2 Mobile Solutions
    • 4 Growing Demand for HBM
      • 4.1 HBM Forecast
    • 5 Substrate Shortages
      • 5.1 CSP Substrates
      • 5.2 Supply and Demand for FC-BGA
    • 6 Leadframe Packages
    • References
  • Figures…
    • 1.1 Monthly U.S. housing starts.
    • 3.1 Determine probe pad locations for SoIC.
    • 3.2 Silicon lid with SiOx bonding interface.
    • 3.3 ASE sFOCoS test vehicle.
    • 3.4 M-Series™ bridge interposer with embedded die.
    • 3.5 mPoP based on M-Series™ process.
  • Tables…
    • 1.1 Bumping and WLP Capacity in China
    • 2.1 Top 20 OSATs 2021 Quarterly Revenue
    • 2.2 Top 20 OSATs First Half 2021
    • 2.3 Gross Margin for Top 10 OSAT Companies
    • 2.4 OSAT R&D Spending
    • 2.5 CAPEX for Top 10 OSATs
    • 2.6 2020 Test Revenue Split Top 5 OSATs
    • 3.1 Silicon Nodes Packaging Trends
    • 3.2 Silicon Interposer in Mass Production for AI
    • 3.3 SI/PI Path Transmission
    • 3.4 Market Projections for Si Interposer and HD FO
    • 3.5 Suppliers of Si Interposers
    • 3.6 Intel's Ponte Vecchio Features
    • 3.7 Apple's Processors in TSMC's InFO
    • 3.8 TSMC's InFO_B Advantages
    • 4.1 HBM Market Projections
    • 5.1 FC-BGA Substrate Supply and Demand
    • 5.2 Impact of Higher Yielding Alternatives for Networking
    • 6.1 QFN/DFN Materials Analysis Summary
Stacks Image 25201
brochure
  • Published October 2021
  • 43 pages
  • 6 figures / 18 tables
  • 51 PowerPoint slides
  • $8,750 corporate license (4 issues)
    $2,500 single issue
Who We Are
TechSearch International is recognized around the world as a leading consulting company in the field of advanced semiconductor packaging and assembly, electronics manufacturing, and materials.
Network
Contact
  • email message
  • +1.512.372.8887
  • +1.512.372.8889
  • 4801 Spicewood Springs Rd, Ste 150
    Austin, TX 78759
    United States