Volume 4-0916

September 2016
order form
The Advanced Packaging Update (4-0916) features special coverage of the market with a forecast for units of BGAs and CSPs by package construction. The CSP market is divided into laminate and leadframe (QFN) substrates. Details of stacked die CSPs and stacked package constructions are provided. Package-on-package (PoP) trends and growth projections are included. Estimates of the market for each package type are based on input from captive as well as merchant assembly operations. Key applications and drivers for unit volume growth are highlighted. A special section is devoted to the growth of the China domestic OSAT market. An economic analysis examines macroeconomic trends and their impact on the semiconductor packaging and assembly industry.
  • Contents…
    • 1 Industry and Economic Trends
      • 1.1 Economic Trends
      • 1.2 Semiconductor Sector
    • ​2 Spotlight on China's OSATs
      • 2.1 Activities to Develop Advanced Packaging
      • 2.2 China Assembly and Packaging Facilities
      • 2.3 Domestic OSATs
        • 2.3.1 BIWIN
        • 2.3.2 China Wafer Level CSP
        • 2.3.3 Jiangsu Changjiang Electronics Technology
        • 2.3.4 Nantong Fujitsu Microelectronics
        • 2.3.5 Tianshui Huatian Technology
    • 3 BGA Applications and Market Growth
      • 3.1 Personal Computers
      • 3.2 Set-Top Boxes, HDTVs, and Game Consoles
      • 3.3 Network Systems, Telecom, and Servers
      • 3.4 Automotive
      • 3.5 BGA Market Projections
    • 4 CSP Applications and Market Growth
      • 4.1 Mobile Phones
      • 4.2 Tablets
      • 4.3 Automotive
      • 4.4 Wearable Electronics
      • 4.5 QFNs
        • 4.5.1 Molded Interconnect Substrates
        • 4.5.2 Cu Clip QFN
      • 4.6 Laminate CSPs
      • 4.7 Stacked Die CSPs
      • 4.8 PoP Developments
        • 4.8.1 Vertical Integration and PoP Evolution
        • 4.8.2 PoP Drivers and Challenges
        • 4.8.3 PoP Configurations, Features, and Trends
        • 4.8.4 FOWL PoP
        • 4.8.5 PoP Assembly and Challenges
      • 4.9 CSP Market Projections
    • BGA and CSP Bibliography
    • References
  • Figures…
    • 1.1 Monthly U.S. housing starts
    • 2.1 ECP construction
    • 2.2 Fan-in WLP structure with low bump
    • 3.1 Intel's 14nm Skylake processor
    • 3.2 Flip chip as a percentage of PBGA shipments
    • 3.3 Subcontractor share of PBGA shipments
    • 4.1 PoP market projections (millions of units)
  • Tables…
    • 2.1 Top Fifteen OSAT Facilities in China
    • 2.2 Top Ten IDM Packaging and Test Facilities in China
    • 2.3 JCET Assembly Services
    • 2.4 ECP Reliability Test Results
    • 2.5 ECP Roadmap
    • 2.6 Reliability Test Results for Fan-in ECP
    • 2.7 Nantong Fujitsu Assembly Services
    • 2.8 Tianshui Huatian Technology Assembly Services
    • 3.1 PBGA Market Projections (millions of units)
    • 4.1 Smartphone Packages
    • 4.2 CSPs in Samsung's Galaxy S7 Edge
    • 4.3 CSPs in Xiaomi Mi5
    • 4.4 Packages in the Fitbit Charge HR
    • 4.5 Packages in the Jawbone UP3
    • 4.6 QFN Examples
    • 4.7 FBGA Examples
    • 4.8 LGA-Package Examples
    • 4.9 Stacked Die CSP Examples
    • 4.10 PoP Configurations
    • 4.11 FOWL PoP Process Configurations
    • 4.12 FOWL PoP: Process Pros and Cons
    • 4.13 CSP Market Projections (millions of units)
    • 4.14 Subcontractor CSP Shipments (millions of units)
Stacks Image 25201
brochure
  • Published September 2016
  • 45 pages
  • 7 figures / 23 tables
  • 37 PowerPoint slides
  • $4,995 annual subscription (4 issues)
    $2,500 single issue
    $8,500 corporate license
Who We Are
TechSearch International is recognized around the world as a leading consulting company in the field of advanced semiconductor packaging and assembly, electronics manufacturing, and materials.
Network
Contact
  • email message
  • +1.512.372.8887
  • +1.512.372.8889
  • 4801 Spicewood Springs Rd, Ste 150
    Austin, TX 78759
    United States